• 初来乍到
  • 信息集散
  • 挥洒青春
  • 人文学术
  • 文学天地
  • 戏说纷纭
  • 站务
上大论坛 >> 信息资讯 >> 浏览帖子
发表帖子 返回列表
数字IC设计实例强化培训 -- 现场授课,结对学习 浏览:674  回复:0
   wonreal   发表于:2018/10/17 15:17:26 编辑    1 楼
微电子相关专业就业可以关注下这个培训课程:


微电子行业做为科技创新的前沿阵地,近些年一直保持高速发展,而随着国家对集成电路产业的大力扶持以及鼓励创新,IC设计人才无论是在大规模的高科技公司还是小型创业公司都大受欢迎。

IC设计的技术门槛相对较高,工程师需要懂得微电子专业知识、芯片制造相关知识、软硬件编程知识以及熟练掌握Cadence/Synopsys/Mentor等顶级EDA软件公司的各种设计工具,待遇与其它多数行业的技术岗位相比都要丰厚。

微电子相关专业的应届本科毕业生虽然接受了较为系统的理论知识培养,但在深度和广度上还有所欠缺,由于缺少实际的项目经验,尚不能充分理解产品开发所需的关键技术,在薪酬竞争力上不如硕士生;而拥有IC相关行业其它岗位从业经验的工程师尽管逐渐意识到IC设计的真正价值以及自己从事IC设计的潜力,但于微电子基础知识有所欠缺,同样也缺少项目的实践机会以充分了解IC设计所需的基本知识。

正因为IC设计理论与实践结合较深的特点,很难通过自学得以提高,企业招募IC设计相关岗位工程师时也更为看重求职者的项目经验。我们安排的课程以涵盖IC设计流程的项目开发为主线,配合主流EDA工具的实操演练,由拥有IC行业多年经验的资深工程师进行讲授,力求通过3~4个月的集中训练使得每个学员初步掌握IC设计的基本技能,可以胜任初级IC设计工程师的岗位,并且找到正确的学习路径不断自我强化。


课程特色:

 基于项目开发的课程安排

仿照IC公司开发项目的过程,对数字IC设计流程中所涉及到的各个环节分解成独立主题依次进行讲解,每个主题里再安排一些小的实例进行实操演练,让学员既能了解重要知识点,也能尽快熟悉EDA工具的使用方法,并最终完成整个项目。

 现场授课、结对学习
坚持现场授课,讲师与学员可以更好的互动,学员共同组建良好的学习氛围,其效果是网络自学或线上培训所无法比拟的,能够获得更为真实的项目开发经历;同时借鉴IT行业“结对编程”的方式,指定结对学习伙伴,互相督促,保持学习的动力,充分保障学习效果。

 设计与工艺制造相结合
考虑到部分学员从事的岗位偏工艺制造方向,而本身专注于设计的学员对工艺的了解又很欠缺,本课程除重点讲授数字IC设计的专门知识外,也会对涉及的工艺制造相关技术(如先进制程、Design Rule等)进行适当的补充,由拥有相关技术岗位多年经验的资深工程师进行讲解。

 丰富的参考资料
我们深信每一位学员的知识素养和学习能力,通过系列课程的学习大家都能学到最关键的知识和技能;但IC设计涉及的知识比较庞杂,只有深入学习每一个知识点,并且不断在实践中领会,才能做到触类旁通。本课程每次课都精心准备了极具价值的参考资料,帮助学员找到正确的学习路径,节省学习时间和成本,学员工作学习中遇到不懂的地方都可以快速找到参考,通过深入学习和实践不断夯实基础,最终能够精通IC设计。

 工具实践为主,理论介绍力求全面
为了提高有限时间的学习成效,理论知识介绍全面但不做过多展开,指导学员通过阅读自我提高;重点讲解自学过程中难有成效的工具使用,通过在项目实践中不断进行实操演练,使学员能熟练使用Modelsim/VCS/Design Compiler/Formality/Primetime/ICC等主流设计工具,具备竞争IC设计岗位能力。

 返聘与择业支持
学员录入公司人才库,公司有岗位空缺时将优先录用;帮助学员了解知名公司岗位空缺,并有机会获得推荐进入知名IC设计公司。


适宜对象:
大学毕业缺少项目经验;
IC行业其它技术岗位希望转行做IC设计;
公司技术岗位需要了解更多设计方面知识;
产品公司需要了解从设计开始的技术环节;
……

以下相关知识储备(任一项或更多)对您的听课效果都会有很好的促进:
1. 电子技术基础理论;
2. 半导体工艺制造;
3. 版图设计;
4. python/perl/tcl脚本语言;
5. Linux操作系统;
6. C/C++编程语言

课程总体安排如下:

课次 课程内容 课时 达成目标
1  数字IC设计流程
 Linux常用指令学习
 VI编辑器与编程
 Verilog语言介绍 10  初步了解数字IC设计流程
 熟练掌握VI编辑器
 熟悉linux常用指令
2  Verilog语言介绍
 Verilog语法与编译调试
 Verilog简单电路模块设计
 Modelsim/IES/VCS介绍 10  熟悉verilog语法与编译环境
 熟悉状态机的实现方法
 了解和使用主流仿真验证工具
3  Modelsim/VCS实操演练
 项目介绍与启动
 产品规格分析
 源代码结构与编程环境 10  熟悉Modelsim/VCS使用方法
 了解项目开发过程
 熟悉项目开发环境
4  仿真验证介绍
 Testbench编写
 经典电路模块设计与验证
 UVM基本概念 10  熟悉仿真验证工作流程
 运用Modelsim进行设计验证
 运用VCS进行设计验证
5  验证语言介绍与学习
 主流验证方法学介绍
 UVM验证平台与主要机制
 UVM实例设计与验证 10  熟悉systemverilog语言
 熟悉UVM验证平台
 运用UVM方法验证模块
6  前端设计巩固、测试与答疑 10  巩固前端设计学习成果
7  逻辑综合概述
 DC设计流程与tcl语言
 DC实操演练
 工艺制程与Design Rule 10  熟悉tcl语言
 熟悉DC工作逻辑与方法
 了解设计与工艺的关系
8  标准单元库,PDK
 DC库配置与启动设置
 建立时间,保持时间,延时
 时序约束,时序路径
 DC分析报告 10  熟悉建立时间/保持时间/时钟/同步异步等概念
 熟悉和理解约束的创建方法
 熟练运用DC工具
9  形式验证简介
 等效性检查与特性检查
 Formality验证流程
 Formality实操演练
 项目Formality检查 10  熟悉形式验证工作原理
 熟悉Formality工作环境
 熟练使用Formality工具
10  静态时序分析概述
 Primetime时序分析流程
 时钟周期,uncertainty/latency和时序例外
 Primetime实例分析 10  熟悉静态时序分析原理
 熟悉Primetime工作环境
 熟练运用PT工具进行分析
11  自动布局布线简介
 ICC设置和基本设计流程
 布局与优化
 时钟树综合
 布线,DRC与LVS
 项目实操演练 10  熟悉PR工作流程
 熟悉ICC/Calibre工作环境
 熟悉ICC/Calibre等工具使用
 了解PR到工艺流片的过程
12  后端巩固、测试与答疑 10  巩固后端设计学习成果


每期招收10名学员,第一期课程预计将于10月下旬到11月中开课。未来还会开设版图设计进阶、Cadence Skill高级培训、先进半导体制造工艺等课程。

授课地点:上海市浦东新区金海路宝龙城市广场二期(地铁9号线顾唐路站,华为上海研究所下一站)
授课时间:每周六9:00~17:30

QQ/邮件咨询:2048692055
联系人(微信):袁老师 15021602436 江老师 17821397739

http://bbs.eetop.cn/thread-769432-1-2.html
表情

帮助文档 举报投诉 隐私条款 认证会员 联系我们
2006-2013 shdxlt.cn 站长QQ:850128975 Processed in 0.14 second(s)